技術コラム

Efinix Trion デバイス毎のPLLの仕様の相違点について

作成者:mou-mou

Trion® FPGA Selector Guideを見ると、T4からT120のラインナップがあります。それぞれ、デバイスの種類やパッケージによってPLLのリソース数が違います。この表だけを見ると、PLLのリソース数だけが違うように見えますが、実際にはPLLの仕様(機能)が違うものがあります。

T8デバイスが搭載された、Xyloni開発キットを使用してみて、出力Deviderが2^nでしか設定できないので???PLL使いにくいな!と思いました。

例えば、33.333MHzから48MHzを生成したい場合、33.333 x 36 / 25 = 48となるのですが、25で割ることができないので、外部発振器の周波数を変えるか、あるいは近い値で妥協しなければならないことになります。また、1個のPLLで2^nでない周波数をつくることもできません。PLLがXyloni開発キットに搭載されているT8F81C2には、PLLは1個しかありません。

T8 Data Sheetをみると、BGA49とBGA81はPLL(Simple)が1個、QFP144はPLL (advanced)が5個となっております。ここでいわれる、PLL(Simple)とPLL(Advanced)が先ほどの「出力Deviderは2^nでしか設定できない」か「出力Deviderは整数で設定できる」かの違いとなります。

PLL(Simple)とPLL (Advanced)の機能の違いについてT8 Data Sheetから読み解いてみました。Figure 8: T8 PLL Block DiagramとFigure 12: PLL Block Diagramを比較しながら主だった違いを下表にまとめてみました。

機能PLL(Simple)PLL(Advanced)
クロック入力14
フィードバックInternalのみInternal, local, Core
出力Devider2, 4, 8, 16, 32, 64, 128, 2561 ~ 256
Phase Shift無し0, 45, 90, 135, 180, 270

他のデバイスでは、Simple / Advancedといった表現はありませんが、T4デバイスでは、T8デバイスのSimple PLLと同じものが搭載されており、T13~T120では、Advanced PLLが搭載されております。

デバイスパッケージPLL
T4すべてSimple
T8FBGA49 / FBGA81Simple
T8LQFP144Advanced
T13~T120すべてAdvanced

複数のクロックドメインが必要な仕様の場合は、T8 LQFP144あるいはT13以上のデバイスを選択することになります。

PLLとは関係のない機能ですが、Simple PLLが搭載されている小規模デバイスには、低周波数(10kHz)の内部オシレータが搭載されており、低消費電力アプリケーションに対応することができます。

トラックバックURL

URL:https://rightxlight.co.jp/technical/efinix-trion-pll-simple-and-advanced/trackback/

よく読まれている記事はこちら

ソフトウェアからハードウェアまで、
まずはお気軽にご相談下さい。

お電話はこちら

お電話はこちら 06-6309-7782

受付時間:9:00~18:00(土日祝は除く)

メールフォームからはこちら

お問い合わせお問い合わせ

Copyright (C) Since 2011 RIGHTxLIGHT Co., Ltd. All Rights Reserved.

PAGE TOP