社員ブログ一覧

QuestaとModelSimの比較(Intel FPGA Starter Edition)

作成者:mou-mou

先般のブログ Questaインストールと動作確認 のなかで「ちょっと試したいこと」と書いておりましたが、

  • 以前のModelSimのシミュレーション環境をそのままQuestaで実行してシミュレーションができるのか?
  • シミュレーション速度が上がっていると言われるが実力はどのくらいか?

この2点を確認してみたいと思います。

以前にテスト的に作ったビデオ信号系のシミュレーションを試してみました。

結論としては、doファイルを実行するとエラーが発生しました。

vsim > do tb.do
# End time: 15:22:03 on Jan 17,2023, Elapsed time: 2:10:52
# Errors: 2, Warnings: 5
# ** Warning: (vlib-34) Library already exists at “work”.
# Errors: 0, Warnings: 1
# Questa Intel Starter FPGA Edition-64 vmap 2021.2 Lib Mapping Utility 2021.04 Apr 14 2021
# vmap work
# Reading C:/intelFPGA_lite/22.1std/questa_fse/win64/../modelsim.ini
# “work” maps to directory ./work. (Default mapping)
# Questa Intel Starter FPGA Edition-64 vlog 2021.2 Compiler 2021.04 Apr 14 2021
# ** Error (suppressible): (vlog-12110) All optimizations are disabled because the -novopt option is in effect. This will cause your simulation to run very slowly. If you are using this switch to preserve visibility for Debug or PLI features, please see the User’s Manual section on Preserving Object Visibility with vopt. -novopt option is now deprecated and will be removed in future releases. # Errors: 1, Warnings: 0
# ** Error: C:/intelFPGA_lite/22.1std/questa_fse/win64/vlog failed.
# Error in macro ./tb.do line 17
# C:/intelFPGA_lite/22.1std/questa_fse/win64/vlog failed.
# while executing
# “vlog -novopt ../../project/src/dvi_tx/dvi_tx.vo”

どうも -novoptがエラーになっているようです。Webで検索するとマクニカ様のホームページに解決方法の掲載がありました。

https://www.macnica.co.jp/business/semiconductor/support/faqs/intel/134162/

「(1) -novopt のオプションを -voptargs=”+acc” に変更する」は解決しませんでした。

「(2) -suppress 12110 を追加する(例:vsim -suppress 12110 -novopt $elabcommand)」を行うことで無事実行できました。doファイルの-novoptを検索してすべてその前に-suppress 12110を挿入しました。

実際のビデオ信号系のシミュレーションを1時間ほど実行した結果です。

SimulatorTime/1hour
ModelSim Intel FPGA Starter Edition 10.5b9,236,090ns
Questa Intel FPGA Starter Edition-64 2021.223,788810ns
2.58倍

Intel社の情報では、ModelSim Intel FPGA EditionとQuesta Intel FPGA Editionの比較で最大 2.5 倍 / 1.25 倍と記載ありましたが、Stater Editonでもほぼ同様の速度比ではないかと思います。

Questa – インテル® FPGA エディション・ソフトウェア

かなり有難いたい速度アップだと感じました。

古いバージョンのQuartus Primeで作成した過去プロジェクトに仕様変更が発生した場合などのシミュレーションにもQuestaを活用したいと思います。

トラックバックURL

URL:https://rightxlight.co.jp/achievements/questa%e3%81%a8modelsim%e3%81%ae%e6%af%94%e8%bc%83intel-fpga-starter-edition/trackback/

よく読まれている記事はこちら

ソフトウェアからハードウェアまで、
まずはお気軽にご相談下さい。

お電話はこちら

お電話はこちら 06-6309-7782

受付時間:9:00~18:00(土日祝は除く)

メールフォームからはこちら

お問い合わせお問い合わせ

Copyright (C) Since 2011 RIGHTxLIGHT Co., Ltd. All Rights Reserved.

PAGE TOP